Jak zdefiniować sygnał niepodpisany w VHDL

signal <SignalName> : unsigned (<NºBITS> Downto 0) : = <InitialValue>;
Heisenberg