Jak korzystać z Verilog HDL na Ubuntu?

8

Próbowałem rozpocząć mały kurs z kilkoma przykładami w Verilog Hdl i po spędzeniu dużo czasu na poszukiwaniu najlepszego symulatora lub IDE do ćwiczenia z niektórymi przykładami, nie udało mi się wymyślić, jak go używać na Ubuntu 12.04

Mam więc dwa pytania:

  1. Jak mogę korzystać z Verilog na Ubuntu 12.04?

  2. Jaki jest najlepszy symulator (lub IDE) dostępny dla systemu Linux?

amrro
źródło

Odpowiedzi:

3

Możesz pobrać symulator Linux Verilog firmy SynpatiCAD, który jest zgodny z Ubuntu. Zawiera symulator wiersza poleceń i graficzny IDE. Po zainstalowaniu możesz uruchomić narzędzie i poprosić o bezpłatną 6-miesięczną licencję na symulator.

Dan Notestein
źródło
5

Czy ten post pomaga: Verilog i VHDL w systemie Linux (Ubuntu) ?

Zaleca łączne stosowanie Icarus Verilog ( iverilogw repozytoriach) do symulacji Verilog, GHDL do symulacji VHDL i GTKWave ( gtkwavew repozytoriach) do przeglądania przebiegów.

Jeśli potrzebujesz edytora Verilog opartego na Eclipse, wypróbuj veditor .

Tom Regner
źródło
ale Veditor nie otwiera się, otwieram go za pomocą JDK6 i nie reaguję lub istnieje inny sposób, aby go uruchomić
amrro
To nie jest samodzielny produkt, ale wtyczka Eclipse; zainstaluj Eclipse, a następnie postępuj zgodnie z instrukcjami tutaj: sourceforge.net/apps/mediawiki/veditor/…
Tom Regner
ok, chcę kolejną przysługę ,, czy możesz zaktualizować swoją odpowiedź, jak zainstalować icarus verilog ,, nie można go zainstalować
amrro,
ghdl daj mi ten błąd ... Pakiet ghdl nie jest dostępny, ale odnosi się do niego inny pakiet. Może to oznaczać, że brakuje pakietu, został on przestarzały lub jest dostępny tylko z innego źródła. E: Pakiet „ghdl” nie ma kandydata do instalacji
amrro,
Ach - znaleziono mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html ; z powodu problemów z kompilacją ghdl jest usuwany z bankomatu debian, a zatem również w Ubuntu od 12.04; możesz spróbować skompilować go samodzielnie (i zainstalować za pomocą checkinstall) - ale mówienie / pisanie cię to więcej, niż pozwala na to mój czas
Tom Regner
1

Możesz także używać środowisk IDE do programowania FPGA. Altera Quartus dla Altera (Intel) FPGA lub Xilinx ISE dla urządzeń Xilinx. Te środowiska umożliwiają pisanie kodu źródłowego VHDL i Verilog oraz obejmują symulatory.

jotego
źródło
Osobiście korzystam z Quartus Prime, wystarczająco dobrego IDE, chociaż jeśli zamierzasz pobrać kod verilog na rzeczywistą FPGA, musisz edytować reguły udev, aby urządzenie mogło zostać rozpoznane.
Sergiy Kolodyazhnyy
1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

Aby sprawdzić, czy wszystko działa poprawnie, zróbmy cześć-świat Verilog.

nano hello.v

następnie napisz następujący kod hello-world

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

Następnie skompiluj

iverilog hello.v -o hello

i na koniec uruchom kod

vvp hello
użytkownik10842694
źródło
-1

Wypróbuj to polecenie w oknie terminala:

sudo apt-get install gplcver
operator linux
źródło
To nie odpowiada na pytanie. Proszę opracować swoją odpowiedź. Co to jest gplcver? Jak tego użyć?
Eric Carvalho,