Pytania oznaczone «fpga»

9
Programowanie PIC przez FPGA

Chciałbym wiedzieć, czy istnieje sposób na zaprogramowanie PIC po raz pierwszy (zapis we Flashu) za pomocą karty FPGA. PIC jest już przylutowany do FPGA i nie mogę go usunąć. Na PIC nie ma bootloadera. Dlatego muszę go zaprogramować w trybie USART / SPI / I2C za pomocą programu ładującego, aby...

9
Programowanie wielu układów FPGA za pomocą JTAG

Mam łańcuch JTAG łączący 4 układy FPGA Spartan 6, które programuję za pomocą ISE iMPACT. Oprogramowanie może pomyślnie zaprogramować dowolny ścisły podzbiór 4 układów FPGA w dowolnej kolejności. Jednak gdy próbuję zaprogramować wszystkie cztery układy FPGA, styk DONE ostatniego układu FPGA nie...

9
Symulacja prostego stanowiska testowego ze zsyntetyzowanym rdzeniem ROM

Jestem zupełnie nowy w świecie układów FPGA i pomyślałem, że zacznę od bardzo prostego projektu: 4-bitowego 7-segmentowego dekodera. Pierwsza wersja, którą napisałem wyłącznie w języku VHDL (jest to w zasadzie pojedynczy kombinator select, nie wymaga zegarów) i wydaje się działać, ale chciałbym...

9
Wszystkie cyfrowe pętle blokady fazy

Chcę zaimplementować blokadę fazy w układzie FPGA bez użycia zewnętrznych komponentów (innych niż ADC). Dla uproszczenia wystarczające jest zablokowanie prostym impulsem binarnym. Częstotliwość sygnałów wynosi ~ 0,1-1% zegara. Nie mogę korzystać z wbudowanych zegarów PLL, ponieważ zazwyczaj są...

9
FPGA - programowanie DIY

Programuję mikrokontrolery od kilku lat i właśnie odkryłem układy FPGA po zajęciach z projektowania cyfrowego. Po przeprowadzeniu badań dotyczących różnych układów FPGA, płyt programistycznych itp. Nadal waham się przed ich zakupem, ponieważ nie wiedziałbym, jak stworzyć własną wersję końcowego...