Pytania oznaczone «fpga»

13
Używasz SVN z Xilinx Vivado?

Właśnie powiedziałem, że korzystam z Vivado w nowym projekcie i chciałbym umieścić pliki projektu pod SVN. Vivado wydaje się tworzyć wszystkie pliki projektu pod nazwą projektu (powiedzmy proj1): /<path to the project>/proj1/ proj1.xpr proj1.srcs/ constrs_1/ new/ const1.xdc...

13
Projekt oprogramowania układowego FPGA: Jak duży jest za duży?

Mam szczególnie dużą transformację przetwarzania sygnału, którą należy przenieść z Matlaba na VHDL. To zdecydowanie wymaga pewnego rodzaju udostępniania zasobów. Trochę obliczeń dało mi następujące informacje: 512 fft 64-punktowych 41210 operacji wielokrotnego dodawania Biorąc pod uwagę, że...

13
Co się stanie, gdy FPGA zostanie „zaprogramowane”?

Z tego, co rozumiem, proces programowania FPGA składa się z dwóch części: Zakoduj opis sprzętu do bitów, które FPGA może zrozumieć (tj. Napisz trochę HDL i skompiluj) Załaduj skompilowany HDL do FPGA. Moje pytanie brzmi: „Co FPGA robi ze skompilowanym HDL?”. W tej chwili myślę o układach FPGA...

12
Liczba w nawiasach kwadratowych na schemacie FPGA

Studiuję schematy płyty deweloperskiej FPGA. Zauważyłem, że wiele pinów ma liczbę między nawiasami kwadratowymi. Załączam zrzut ekranu części schematu, z liczbą zaznaczoną na zielono. Ponadto po prawej stronie zielonego koła znajduje się jeden pin z [2,5] przed nazwą. Chciałbym zapytać, czy wiesz,...

12
rekomendacje książek na temat FPGA [zamknięte]

Zamknięte. To pytanie jest nie na temat . Obecnie nie przyjmuje odpowiedzi. Chcesz poprawić to pytanie? Zaktualizuj pytanie, aby było na temat wymiany stosu inżynierii elektrycznej. Zamknięte 2 lata temu . jakie tytuły książek poleciłbyś zacząć na FPGA i...

12
Czy FPGA jest wykonalna dla takiego projektu?

Obecnie pracuję nad Super OSD - projektem wyświetlania na ekranie. http://code.google.com/p/super-osd ma wszystkie szczegóły. W tej chwili używam MCU dsPIC do wykonania zadania. Jest to bardzo potężny procesor DSP (40 MIPS przy 80 MHz, operacje jednotaktowe z trzema rejestrami i jednostka MAC) i,...

12
Cheap FPGA dev board [zamknięta]

Zamknięte. To pytanie jest nie na temat . Obecnie nie przyjmuje odpowiedzi. Chcesz poprawić to pytanie? Zaktualizuj pytanie, aby było na temat wymiany stosu inżynierii elektrycznej. Zamknięte 4 lata temu . Chcę zacząć od FPGA, ale nigdy wcześniej nie...

11
Sugerowana karta FPGA [zamknięta]

Zamknięte. To pytanie jest nie na temat . Obecnie nie przyjmuje odpowiedzi. Chcesz poprawić to pytanie? Zaktualizuj pytanie, aby było na temat wymiany stosu inżynierii elektrycznej. Zamknięte w zeszłym roku . To moje pierwsze spojrzenie na FPGA, ale duże...

11
FPGA, pierwsze kroki

To jest kontynuacja mojego pytania na temat FPGA tutaj . W końcu wybrałem Digilent Atlys z układem FPGA Spartan 6, nie mam wcześniejszego doświadczenia z układami FPGA, chociaż wykonałem trochę pracy z mikrokontrolerami. Ostatnie kilka dni spędziłem czytając karty danych FPGA i myślę, że dobrym...

11
Przykład kodu dla filtrów FIR / IIR w VHDL?

Próbuję zacząć korzystać z DSP na mojej płycie Spartan-3. Zrobiłem płytę AC97 z układem ze starej płyty głównej i do tej pory udało mi się zrobić ADC, pomnożyć próbki dla liczby <1 (zmniejszyć głośność), a następnie DAC. Teraz chciałbym zrobić kilka podstawowych rzeczy DSP, takich jak filtr...

11
Kiedy lepiej jest używać reprezentacji VECTOR vs. INTEGER?

W wątku komentarza do odpowiedzi na to pytanie: Niepoprawne dane wyjściowe w jednostce VHDL stwierdzono: „Dzięki liczbom całkowitym nie masz kontroli ani dostępu do wewnętrznej reprezentacji logiki w FPGA, podczas gdy SLV pozwala na takie sztuczki, jak efektywne wykorzystanie łańcucha...

11
Projekty dla początkujących na FPGA?

Zablokowana . To pytanie i odpowiedzi są zablokowane, ponieważ pytanie jest nie na temat, ale ma znaczenie historyczne. Obecnie nie akceptuje nowych odpowiedzi ani interakcji. Mam dwa tygodnie do ukończenia mojego pierwszego kursu college'u z projektowania cyfrowego i...

11
Ograniczenia eksportu dotyczące komponentów takich jak FPGA

Zastanawiam się nad ulepszeniem układów FPGA na moim produkcie z małych Spartan3A-200 do Spartan6 o niskiej i średniej skali. Spartan6 są w rzeczywistości tańsze, a ja właśnie przerosłem 200. Wygląda na to, że błędem byłoby zaprojektowanie innego Spartan3A w tym momencie. Mój (związany z polem...