Pytania oznaczone «vhdl»

13
Projekt oprogramowania układowego FPGA: Jak duży jest za duży?

Mam szczególnie dużą transformację przetwarzania sygnału, którą należy przenieść z Matlaba na VHDL. To zdecydowanie wymaga pewnego rodzaju udostępniania zasobów. Trochę obliczeń dało mi następujące informacje: 512 fft 64-punktowych 41210 operacji wielokrotnego dodawania Biorąc pod uwagę, że...

12
Cheap FPGA dev board [zamknięta]

Zamknięte. To pytanie jest nie na temat . Obecnie nie przyjmuje odpowiedzi. Chcesz poprawić to pytanie? Zaktualizuj pytanie, aby było na temat wymiany stosu inżynierii elektrycznej. Zamknięte 4 lata temu . Chcę zacząć od FPGA, ale nigdy wcześniej nie...

12
rekomendacje książek na temat FPGA [zamknięte]

Zamknięte. To pytanie jest nie na temat . Obecnie nie przyjmuje odpowiedzi. Chcesz poprawić to pytanie? Zaktualizuj pytanie, aby było na temat wymiany stosu inżynierii elektrycznej. Zamknięte 2 lata temu . jakie tytuły książek poleciłbyś zacząć na FPGA i...

11
Kiedy lepiej jest używać reprezentacji VECTOR vs. INTEGER?

W wątku komentarza do odpowiedzi na to pytanie: Niepoprawne dane wyjściowe w jednostce VHDL stwierdzono: „Dzięki liczbom całkowitym nie masz kontroli ani dostępu do wewnętrznej reprezentacji logiki w FPGA, podczas gdy SLV pozwala na takie sztuczki, jak efektywne wykorzystanie łańcucha...

11
Jak debugować czerwone sygnały w ModelSIM?

Muszę zaprojektować maszynę stanową, używając tylko bramek NAND dla części kombinatorycznej i klapek D dla logiki sekwencyjnej. Wszystko powinno działać z zegarem 1 GHz / 53. Teraz, zanim zaatakujesz mnie słowami „nie odrabimy za ciebie pracy domowej”, powiem ci, że złomowałem wszystko po...

11
FPGA: policzyć czy odliczać?

Uczę się korzystać z FPGA (planszy Papilio, która ma xilinx spartan3e, używając vhdl). Muszę podzielić przychodzący puls przez (zakodowany na stałe) numer. Widzę 3 opcje - z grubsza jako pseudokod (na przykładzie 10 zliczeń): Zainicjuj na 0, przy wzroście zbocza narastającego o 1, w porównaniu...

11
VHDL: OR-bitowe bity wektora razem

Chcę razem OR bitów wektora. Powiedzmy, że mam wektor o nazwie example(23 downto 0)i chcę OR wszystkie bity do innego wektora, czy jest jakiś sposób na to, który nie wymaga przejścia example(0) or example(1) or

11
Czy używasz obecnie VHDL?

Jestem studentem elektrotechniki i uczę się języka opisu sprzętu znanego jako VHDL. Szukałem go w Google, szukając IDE (jestem na komputerze Mac), ale ten język wydaje się dość martwy. Oto moje pytanie: czy w mojej przyszłej pracy jako inżynier elektryk VHDL będzie dla mnie przydatny? Używasz...

11
Projekty dla początkujących na FPGA?

Zablokowana . To pytanie i odpowiedzi są zablokowane, ponieważ pytanie jest nie na temat, ale ma znaczenie historyczne. Obecnie nie akceptuje nowych odpowiedzi ani interakcji. Mam dwa tygodnie do ukończenia mojego pierwszego kursu college'u z projektowania cyfrowego i...

11
Przykład kodu dla filtrów FIR / IIR w VHDL?

Próbuję zacząć korzystać z DSP na mojej płycie Spartan-3. Zrobiłem płytę AC97 z układem ze starej płyty głównej i do tej pory udało mi się zrobić ADC, pomnożyć próbki dla liczby <1 (zmniejszyć głośność), a następnie DAC. Teraz chciałbym zrobić kilka podstawowych rzeczy DSP, takich jak filtr...

10
Rurociąg VHDL MD5

Próbuję wdrożyć 3-etapowy potok MD5 zgodnie z tym linkiem . W szczególności algorytmy na stronie 31. Istnieje również inny dokument opisujący przekazywanie danych. Odbywa się to w FPGA (Terasic DE2-115). W tym projekcie nie ma schematów, tylko kod VHDL. library ieee; use...

10
Kiedy używać STD_LOGIC zamiast BIT w VHDL

Jaka jest różnica między używaniem: ENTITY MyDemo is PORT(X: IN STD_LOGIC; F: OUT STD_LOGIC ); END MyDemo; i ENTITY MyDemo is PORT(X: IN BIT; F: OUT BIT ); END MyDemo; Jakie są ograniczenia korzystania z BIT w stosunku do STD_LOGIC i odwrotnie? Czy są one całkowicie wymienne? Rozumiem,...