Pytania oznaczone «hdl»

11
Przykład kodu dla filtrów FIR / IIR w VHDL?

Próbuję zacząć korzystać z DSP na mojej płycie Spartan-3. Zrobiłem płytę AC97 z układem ze starej płyty głównej i do tej pory udało mi się zrobić ADC, pomnożyć próbki dla liczby <1 (zmniejszyć głośność), a następnie DAC. Teraz chciałbym zrobić kilka podstawowych rzeczy DSP, takich jak filtr...

10
Rurociąg VHDL MD5

Próbuję wdrożyć 3-etapowy potok MD5 zgodnie z tym linkiem . W szczególności algorytmy na stronie 31. Istnieje również inny dokument opisujący przekazywanie danych. Odbywa się to w FPGA (Terasic DE2-115). W tym projekcie nie ma schematów, tylko kod VHDL. library ieee; use...

10
Kiedy używać STD_LOGIC zamiast BIT w VHDL

Jaka jest różnica między używaniem: ENTITY MyDemo is PORT(X: IN STD_LOGIC; F: OUT STD_LOGIC ); END MyDemo; i ENTITY MyDemo is PORT(X: IN BIT; F: OUT BIT ); END MyDemo; Jakie są ograniczenia korzystania z BIT w stosunku do STD_LOGIC i odwrotnie? Czy są one całkowicie wymienne? Rozumiem,...

10
Co to jest „pół-zatrzask” w FPGA?

W artykule o FPGA trudnych do napromieniowania natrafiłem na to zdanie: „Innym problemem związanym z urządzeniami Virtex są pół-zatrzaski. Pół-zatrzaski są czasami używane w tych urządzeniach dla stałych wewnętrznych, ponieważ jest to bardziej wydajne niż użycie logiki”. Nigdy nie słyszałem o...

9
Naciśnięcie tego samego wiersza klucza w tym samym czasie

Projektuję klawiaturę w VHDL. Wszystko działa dobrze po naciśnięciu tylko jednego klawisza. Skanuję każdą kolumnę w poszukiwaniu naciśnięcia klawisza w maszynie stanów i kiedy żaden klawisz nie jest wciśnięty, co jest warunkiem, pin4pin6pin7pin2 = "0000"że przełączam się do następnego stanu w celu...

9
Symulacja prostego stanowiska testowego ze zsyntetyzowanym rdzeniem ROM

Jestem zupełnie nowy w świecie układów FPGA i pomyślałem, że zacznę od bardzo prostego projektu: 4-bitowego 7-segmentowego dekodera. Pierwsza wersja, którą napisałem wyłącznie w języku VHDL (jest to w zasadzie pojedynczy kombinator select, nie wymaga zegarów) i wydaje się działać, ale chciałbym...

9
Jak uniknąć zatrzasków podczas syntezy

Chcę zaprojektować blok kombinacyjnej logiki przy użyciu VHDL, ale czasami syntezowany wynik zawiera niezamierzone zatrzaśnięcie. Jakich wskazówek kodowania muszę przestrzegać, aby uniknąć wnioskowania syntezatora o zatrzaski? Przykład: w małym segmencie kodu powinienem używać instrukcji...